最初の一歩:FPGAでLチカ(1)

プログラミング入門で最初にやることといえば、皆さんご存知、Hello, worldですよね!

でも、Hello, worldをFPGAでするのはすごくたいへんです。そもそも、文字表示をどうやって実現するか、そこから考えなければいけません。(まあ後でやりますが。)

というわけで、今回は電子回路でよくやるHello, worldこと、Lチカをやってみたいと思います。

まあ、回路的にはほぼ意味なんてありません。Quartusの使い方に慣れる練習とでも考えてください。

とりあえずやってみよう

ひとまず、Quartusを起動してください。

初回起動時には、以下のような画面が出るかもしれません。

f:id:hikalium:20170524233619p:plain

これは、ライセンスファイルがあるなら指定してね、という画面ですが、私たちは今回無料版で突き進んでゆくので、真ん中のRun the Quartus Prime softwareを選んでOKを押しましょう。

プロジェクトを作成する

さて、起動したら、真ん中のHomeのタブにあるNew Project Wizardというボタンを押してください。

f:id:hikalium:20170525003857p:plain

すると、以下のようなウィンドウが出てきます。

f:id:hikalium:20170525004003p:plain

まあ黙ってNextを押しましょう。

f:id:hikalium:20170525004042p:plain

次に出てきたのは、プロジェクトファイルの保存先を指定する画面です。

一番上には適当なディレクトリへのパスを入力しておいてください。存在しない場所を指定した場合は、作成しますか?とNextを押した際に出てきますので、Yesを押してあげてください。

真ん中には適当にledとでも入れておきましょう。

次はなんでしょうか。

f:id:hikalium:20170525004339p:plain

プロジェクトの種類を指定してくださいとのことです。今回はデフォルトのEmpty projectで大丈夫です。次に行きましょう。

f:id:hikalium:20170525004518p:plain

既存ファイルをここで追加できます。でも、今は既存のファイルなんてありませんのでスキップです。次へ。

f:id:hikalium:20170525004601p:plain

この画面は重要です!書き込み先のFPGAの型番をQuartusに教えてあげます。

これは、みなさんの使うボードに合わせて設定してほしいのですが、今回はEP4CE6E22C8NというFPGAが載ったボードをつかっているので、その場合で説明しましょう。

f:id:hikalium:20170525010131j:plain

このFPGAはCyclone IV Eファミリですから、左上のDevice familyはCyclone IV Eを選びます。

そして、右下のName filterに、チップの型番を入れてゆきます。

EP4CE6E22C8まで入れると、下のAvailable devicesのリストに、それっぽいチップが出てきました! もう一つLがついたチップもありますが、どうもコア電圧が低いやつみたいなので、上でよさそうです。

というわけで、これを選択してNextを押します。

f:id:hikalium:20170525005530p:plain

ツールの設定です。これもデフォルトで問題ありません。次へ。

f:id:hikalium:20170525005610p:plain

作成予定のプロジェクトの概要が表示されました。これで大丈夫そうです!Finishを押しましょう。

すると、ウィンドウが閉じて先ほどの画面に戻ります。

f:id:hikalium:20170525005725p:plain

これでプロジェクトの作成は完了です!

ちょっと長くなってしまったので、続きは別記事で。

hikalium.hatenablog.jp